参数资料
型号: CO561AD-D
英文描述: Ichip Internet Controller(Internet网络控制器)
中文描述: Ichip互联网控制器(互联网网络控制器)
文件页数: 18/52页
文件大小: 1835K
代理商: CO561AD-D
Pin Descriptions
iChip & iChip LAN Datasheet 5-1
5 Pin Descriptions
5.1 iChip CO561AD-S Pin Assignments
Figure
5-1 PLCC68 Package for iChip CO561AD-S Serial Version
~
~
VCC
AD5
AD4
AD3
AD2
AD1
AD0
A17
AD7
AD14
AD13
~RES
AD11
-FLCS
~DSRH
AD10
AD9
A16
CLKO
X2
X1
ALE
URTINT
A18
A8
A7
A4
A3
A13
A19
A15
A12
A14
A5
A
A
G
A
A
~
H
~
M
~
~
G
~
A
A
~
R
T
R
T
A
A
A
A
~
A
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
5
5
5
5
5
5
5
6
6
6
6
6
6
6
6
6
5
~
~
3
3
3
3
2
2
2
2
2
2
2
2
2
2
1
1
3
~
~
H
CO561AD-S
相关PDF资料
PDF描述
CO561AD-S Ichip Internet Controller(Internet网络控制器)
COM117HVT 5.0 AMP POSITIVE VOLTAGE REGULATOR
COM117T 5.0 AMP POSITIVE VOLTAGE REGULATOR
COM117T (COTS) COMMERCIAL OFF-THE-SHELF 1.5 AMP POSITIVE ADJUSTABLE VOLTAGE REGULATOR IN TO-257 PACKAGE
COM137T Three Terminal, Precision Adjustable Negative Voltage Regulator(三端精密可调负电压稳压器)
相关代理商/技术参数
参数描述
CO561AD-D/20PC-3 制造商:Connect One 功能描述:COMMUNICATION ICHIP PLUS INTERNET CONTROLLER 68PLCC
CO561AD-D/20PC-5 制造商:Connect One 功能描述:COMMUNICATION ICHIP PLUS INTERNET CONTROLLER 68PLCC
CO561AD-L/20PC-3 制造商:Connect One 功能描述:COMMUNICATION ICHIP PLUS INTERNET CONTROLLER 68PLCC
CO561AD-L/20PC-3G 制造商:Connect One 功能描述:CO561AD-L SERIAL LAN VERSION,
CO561AD-L/20PC-5 制造商:Connect One 功能描述:Internet Controller, Ichip LAN Evaluation Platform, Plcc-68 Form FACtor, 5 V