参数资料
型号: EPM7032STC44-10FN
厂商: Altera
文件页数: 23/66页
文件大小: 0K
描述: IC MAX 7000 CPLD 32 44-TQFP
产品变化通告: MAX 7000 Series Obsolescence 08/Jun/2009
标准包装: 160
系列: MAX® 7000
可编程类型: 系统内可编程
最大延迟时间 tpd(1): 10.0ns
电压电源 - 内部: 4.75 V ~ 5.25 V
逻辑元件/逻辑块数目: 2
宏单元数: 32
门数: 600
输入/输出数: 36
工作温度: 0°C ~ 70°C
安装类型: 表面贴装
封装/外壳: 44-TQFP
供应商设备封装: 44-TQFP(10x10)
包装: 托盘
Altera Corporation
3
MAX 7000 Programmable Logic Device Family Data Sheet
Additional design entry and simulation support provided by EDIF
2 0 0 and 3 0 0 netlist files, library of parameterized modules (LPM),
Verilog HDL, VHDL, and other interfaces to popular EDA tools from
manufacturers such as Cadence, Exemplar Logic, Mentor Graphics,
OrCAD, Synopsys, and VeriBest
Programming support
Altera’s Master Programming Unit (MPU) and programming
hardware from third-party manufacturers program all
MAX 7000 devices
–The BitBlasterTM serial download cable, ByteBlasterMVTM
parallel port download cable, and MasterBlasterTM
serial/universal serial bus (USB) download cable program MAX
7000S devices
General
Description
The MAX 7000 family of high-density, high-performance PLDs is based
on Altera’s second-generation MAX architecture. Fabricated with
advanced CMOS technology, the EEPROM-based MAX 7000 family
provides 600 to 5,000 usable gates, ISP, pin-to-pin delays as fast as 5 ns,
and counter speeds of up to 175.4 MHz. MAX 7000S devices in the -5, -6,
-7, and -10 speed grades as well as MAX 7000 and MAX 7000E devices in
-5, -6, -7, -10P, and -12P speed grades comply with the PCI Special Interest
Group (PCI SIG) PCI Local Bus Specification, Revision 2.2. See Table 3
for available speed grades.
Table 3. MAX 7000 Speed Grades
Device
Speed Grade
-5
-6
-7
-10P
-10
-12P
-12
-15
-15T
-20
EPM7032
vv
v
vvv
EPM7032S
v
EPM7064
v
vvv
v
EPM7064S
v
EPM7096
vvv
v
EPM7128E
vvv
vv
v
EPM7128S
v
EPM7160E
vv
v
EPM7160S
vv
v
EPM7192E
vvv
v
EPM7192S
vv
v
EPM7256E
vvv
v
EPM7256S
vv
v
相关PDF资料
PDF描述
M5LV-320/160-7YI IC CPLD 320MC 160I/O 208PQFP
MIC5256-2.9BM5 TR IC REG LDO 2.9V .15A SOT23-5
GBC05DRYS CONN EDGECARD 10POS DIP .100 SLD
M5LV-320/160-6YC IC CPLD 320MC 160I/O 208PQFP
EPM7032STC44-7N IC MAX 7000 CPLD 32 44-TQFP
相关代理商/技术参数
参数描述
EPM7032STC44-10N 功能描述:IC MAX 7000 CPLD 32 44-TQFP RoHS:是 类别:集成电路 (IC) >> 嵌入式 - CPLD(复杂可编程逻辑器件) 系列:MAX® 7000 标准包装:24 系列:CoolRunner II 可编程类型:系统内可编程 最大延迟时间 tpd(1):7.1ns 电压电源 - 内部:1.7 V ~ 1.9 V 逻辑元件/逻辑块数目:24 宏单元数:384 门数:9000 输入/输出数:173 工作温度:0°C ~ 70°C 安装类型:表面贴装 封装/外壳:208-BFQFP 供应商设备封装:208-PQFP(28x28) 包装:托盘
EPM7032STC44-5 功能描述:IC MAX 7000 CPLD 32 44-TQFP RoHS:否 类别:集成电路 (IC) >> 嵌入式 - CPLD(复杂可编程逻辑器件) 系列:MAX® 7000 标准包装:24 系列:CoolRunner II 可编程类型:系统内可编程 最大延迟时间 tpd(1):7.1ns 电压电源 - 内部:1.7 V ~ 1.9 V 逻辑元件/逻辑块数目:24 宏单元数:384 门数:9000 输入/输出数:173 工作温度:0°C ~ 70°C 安装类型:表面贴装 封装/外壳:208-BFQFP 供应商设备封装:208-PQFP(28x28) 包装:托盘
EPM7032STC44-5N 制造商:Altera Corporation 功能描述:IC MAX 制造商:Altera Corporation 功能描述:CPLD. MAX 7000 32 MACROCELLS TQFP44 制造商:Altera Corporation 功能描述:CPLD. MAX 7000, 32 MACROCELLS, TQFP44
EPM7032STC44-6 功能描述:IC MAX 7000 CPLD 32 44-TQFP RoHS:否 类别:集成电路 (IC) >> 嵌入式 - CPLD(复杂可编程逻辑器件) 系列:MAX® 7000 标准包装:24 系列:CoolRunner II 可编程类型:系统内可编程 最大延迟时间 tpd(1):7.1ns 电压电源 - 内部:1.7 V ~ 1.9 V 逻辑元件/逻辑块数目:24 宏单元数:384 门数:9000 输入/输出数:173 工作温度:0°C ~ 70°C 安装类型:表面贴装 封装/外壳:208-BFQFP 供应商设备封装:208-PQFP(28x28) 包装:托盘
EPM7032STC44-7 功能描述:IC MAX 7000 CPLD 32 44-TQFP RoHS:否 类别:集成电路 (IC) >> 嵌入式 - CPLD(复杂可编程逻辑器件) 系列:MAX® 7000 标准包装:24 系列:CoolRunner II 可编程类型:系统内可编程 最大延迟时间 tpd(1):7.1ns 电压电源 - 内部:1.7 V ~ 1.9 V 逻辑元件/逻辑块数目:24 宏单元数:384 门数:9000 输入/输出数:173 工作温度:0°C ~ 70°C 安装类型:表面贴装 封装/外壳:208-BFQFP 供应商设备封装:208-PQFP(28x28) 包装:托盘