参数资料
型号: EPM7128STC100-10F
厂商: Altera
文件页数: 11/66页
文件大小: 0K
描述: IC MAX 7000 CPLD 128 100-TQFP
标准包装: 270
系列: MAX® 7000
可编程类型: 系统内可编程
最大延迟时间 tpd(1): 10.0ns
电压电源 - 内部: 4.75 V ~ 5.25 V
逻辑元件/逻辑块数目: 8
宏单元数: 128
门数: 2500
输入/输出数: 84
工作温度: 0°C ~ 70°C
安装类型: 表面贴装
封装/外壳: 100-TQFP
供应商设备封装: 100-TQFP(14x14)
包装: 托盘
Altera Corporation
19
MAX 7000 Programmable Logic Device Family Data Sheet
The programming times described in Tables 6 through 8 are associated
with the worst-case method using the enhanced ISP algorithm.
Tables 7 and 8 show the in-system programming and stand alone
verification times for several common test clock frequencies.
Table 6. MAX 7000S tPULSE & CycleTCK Values
Device
Programming
Stand-Alone Verification
tPPULSE (s)
CyclePTCK
tVPULSE (s)
CycleVTCK
EPM7032S
4.02
342,000
0.03
200,000
EPM7064S
4.50
504,000
0.03
308,000
EPM7128S
5.11
832,000
0.03
528,000
EPM7160S
5.35
1,001,000
0.03
640,000
EPM7192S
5.71
1,192,000
0.03
764,000
EPM7256S
6.43
1,603,000
0.03
1,024,000
Table 7. MAX 7000S In-System Programming Times for Different Test Clock Frequencies
Device
fTCK
Units
10 MHz
5 MHz
2 MHz
1 MHz
500 kHz
200 kHz
100 kHz
50 kHz
EPM7032S
4.06
4.09
4.19
4.36
4.71
5.73
7.44
10.86
s
EPM7064S
4.55
4.60
4.76
5.01
5.51
7.02
9.54
14.58
s
EPM7128S
5.19
5.27
5.52
5.94
6.77
9.27
13.43
21.75
s
EPM7160S
5.45
5.55
5.85
6.35
7.35
10.35
15.36
25.37
s
EPM7192S
5.83
5.95
6.30
6.90
8.09
11.67
17.63
29.55
s
EPM7256S
6.59
6.75
7.23
8.03
9.64
14.45
22.46
38.49
s
Table 8. MAX 7000S Stand-Alone Verification Times for Different Test Clock Frequencies
Device
fTCK
Units
10 MHz
5 MHz
2 MHz
1 MHz
500 kHz
200 kHz
100 kHz
50 kHz
EPM7032S
0.05
0.07
0.13
0.23
0.43
1.03
2.03
4.03
s
EPM7064S
0.06
0.09
0.18
0.34
0.64
1.57
3.11
6.19
s
EPM7128S
0.08
0.14
0.29
0.56
1.09
2.67
5.31
10.59
s
EPM7160S
0.09
0.16
0.35
0.67
1.31
3.23
6.43
12.83
s
EPM7192S
0.11
0.18
0.41
0.79
1.56
3.85
7.67
15.31
s
EPM7256S
0.13
0.24
0.54
1.06
2.08
5.15
10.27
20.51
s
相关PDF资料
PDF描述
GEC10DREH CONN EDGECARD 20POS .100 EYELET
TC653CGVUATR IC TEMP SNSR/DC FAN CNTRLR 8MSOP
REC3-1212SRW/H2/A CONV DC/DC 3W 9-18VIN 12VOUT
T491D686K016AT CAP TANT 68UF 16V 10% 2917
EPM7064LC84-7 IC MAX 7000 CPLD 64 84-PLCC
相关代理商/技术参数
参数描述
EPM7128STC100-10N 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 128 Macro 84 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7128STC10015 制造商:Altera Corporation 功能描述:
EPM7128STC100-15 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 128 Macro 84 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7128STC100-15F 功能描述:IC MAX 7000 CPLD 128 100-TQFP RoHS:否 类别:集成电路 (IC) >> 嵌入式 - CPLD(复杂可编程逻辑器件) 系列:MAX® 7000 标准包装:24 系列:CoolRunner II 可编程类型:系统内可编程 最大延迟时间 tpd(1):7.1ns 电压电源 - 内部:1.7 V ~ 1.9 V 逻辑元件/逻辑块数目:24 宏单元数:384 门数:9000 输入/输出数:173 工作温度:0°C ~ 70°C 安装类型:表面贴装 封装/外壳:208-BFQFP 供应商设备封装:208-PQFP(28x28) 包装:托盘
EPM7128STC100-15N 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 128 Macro 84 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100