参数资料
型号: LFXP20E-3F256C
厂商: Lattice Semiconductor Corporation
文件页数: 161/397页
文件大小: 0K
描述: IC FPGA 19.7KLUTS 188I/O 256-BGA
标准包装: 90
系列: XP
逻辑元件/单元数: 20000
RAM 位总计: 405504
输入/输出数: 188
电源电压: 1.14 V ~ 1.26 V
安装类型: 表面贴装
工作温度: 0°C ~ 85°C
封装/外壳: 256-BGA
供应商设备封装: 256-FPBGA(17x17)
第1页第2页第3页第4页第5页第6页第7页第8页第9页第10页第11页第12页第13页第14页第15页第16页第17页第18页第19页第20页第21页第22页第23页第24页第25页第26页第27页第28页第29页第30页第31页第32页第33页第34页第35页第36页第37页第38页第39页第40页第41页第42页第43页第44页第45页第46页第47页第48页第49页第50页第51页第52页第53页第54页第55页第56页第57页第58页第59页第60页第61页第62页第63页第64页第65页第66页第67页第68页第69页第70页第71页第72页第73页第74页第75页第76页第77页第78页第79页第80页第81页第82页第83页第84页第85页第86页第87页第88页第89页第90页第91页第92页第93页第94页第95页第96页第97页第98页第99页第100页第101页第102页第103页第104页第105页第106页第107页第108页第109页第110页第111页第112页第113页第114页第115页第116页第117页第118页第119页第120页第121页第122页第123页第124页第125页第126页第127页第128页第129页第130页第131页第132页第133页第134页第135页第136页第137页第138页第139页第140页第141页第142页第143页第144页第145页第146页第147页第148页第149页第150页第151页第152页第153页第154页第155页第156页第157页第158页第159页第160页当前第161页第162页第163页第164页第165页第166页第167页第168页第169页第170页第171页第172页第173页第174页第175页第176页第177页第178页第179页第180页第181页第182页第183页第184页第185页第186页第187页第188页第189页第190页第191页第192页第193页第194页第195页第196页第197页第198页第199页第200页第201页第202页第203页第204页第205页第206页第207页第208页第209页第210页第211页第212页第213页第214页第215页第216页第217页第218页第219页第220页第221页第222页第223页第224页第225页第226页第227页第228页第229页第230页第231页第232页第233页第234页第235页第236页第237页第238页第239页第240页第241页第242页第243页第244页第245页第246页第247页第248页第249页第250页第251页第252页第253页第254页第255页第256页第257页第258页第259页第260页第261页第262页第263页第264页第265页第266页第267页第268页第269页第270页第271页第272页第273页第274页第275页第276页第277页第278页第279页第280页第281页第282页第283页第284页第285页第286页第287页第288页第289页第290页第291页第292页第293页第294页第295页第296页第297页第298页第299页第300页第301页第302页第303页第304页第305页第306页第307页第308页第309页第310页第311页第312页第313页第314页第315页第316页第317页第318页第319页第320页第321页第322页第323页第324页第325页第326页第327页第328页第329页第330页第331页第332页第333页第334页第335页第336页第337页第338页第339页第340页第341页第342页第343页第344页第345页第346页第347页第348页第349页第350页第351页第352页第353页第354页第355页第356页第357页第358页第359页第360页第361页第362页第363页第364页第365页第366页第367页第368页第369页第370页第371页第372页第373页第374页第375页第376页第377页第378页第379页第380页第381页第382页第383页第384页第385页第386页第387页第388页第389页第390页第391页第392页第393页第394页第395页第396页第397页
LatticeECP/EC and LatticeXP
Lattice Semiconductor
DDR Usage Guide
10-24
Appendix C. VHDL Example for DDR Input and Output Modules
library IEEE;
use IEEE.std_logic_1164.all;
library ec;
use ec.components.all;
entity ddr_mem is
port( dq
: inout std_logic_vector(7 downto 0 );
dqs
: inout std_logic;
clk
: in std_logic; -- core clock
clk90
: in std_logic; -- 90 degree phase shifted clock from the pll
reset
: in std_logic;
uddcntl
: in std_logic;
read
: in std_logic;
dataout_p
: in std_logic_vector(7 downto 0);
dataout_n
: in std_logic_vector(7 downto 0);
datatri_p
: in std_logic_vector(7 downto 0);
datatri_n
: in std_logic_vector(7 downto 0);
dqstri_p
: in std_logic;
dqstri_n
: in std_logic;
ddrclk
: out std_logic;
datain_p
: out std_logic_vector(7 downto 0);
datain_n
: out std_logic_vector(7 downto 0);
dqsc
: out std_logic;
prmbdet
: out std_logic;
lock
: out std_logic;
ddrclkpol
: out std_logic);
--*****DDR interface signals assigned SSTL25 IO Standard *************
ATTRIBUTE IO_TYPE
:
string;
ATTRIBUTE IO_TYPE OF ddrclk
:
SIGNAL IS "SSTL25D_II";
ATTRIBUTE IO_TYPE OF dq
:
SIGNAL IS "SSTL25_II";
ATTRIBUTE IO_TYPE OF dqs
:
SIGNAL IS "SSTL25_II";
end ddr_mem;
architecture structure of ddr_mem is
--*****DDR Input register*********************************************
component IDDRXB
port(
D
: in STD_LOGIC;
ECLK : in STD_LOGIC;
SCLK : in STD_LOGIC;
CE
: in STD_LOGIC;
LSR
: in STD_LOGIC;
DDRCLKPOL
: in STD_LOGIC;
QA
: out STD_LOGIC;
QB
: out STD_LOGIC);
end component;
相关PDF资料
PDF描述
LFXP15E-4F388C IC FPGA 15.4KLUTS 168I/O 388-BGA
LFXP15E-3F388I IC FPGA 15.4KLUTS 168I/O 388-BGA
LFXP15C-4F388C IC FPGA 15.5KLUTS 268I/O 388-BGA
MAX5426CEUD+ IC INSTR AMP PROGR PREC 14-TSSOP
MAX4079CUG+ IC A/V BACKEND 24-TSSOP
相关代理商/技术参数
参数描述
LFXP20E-3F256I 功能描述:FPGA - 现场可编程门阵列 19.7K LUTs 188 IO 1. 2V -3 Spd I RoHS:否 制造商:Altera Corporation 系列:Cyclone V E 栅极数量: 逻辑块数量:943 内嵌式块RAM - EBR:1956 kbit 输入/输出端数量:128 最大工作频率:800 MHz 工作电源电压:1.1 V 最大工作温度:+ 70 C 安装风格:SMD/SMT 封装 / 箱体:FBGA-256
LFXP20E-3F388C 功能描述:FPGA - 现场可编程门阵列 19.7K LUTs 268 IO 1. 2V -3 Spd RoHS:否 制造商:Altera Corporation 系列:Cyclone V E 栅极数量: 逻辑块数量:943 内嵌式块RAM - EBR:1956 kbit 输入/输出端数量:128 最大工作频率:800 MHz 工作电源电压:1.1 V 最大工作温度:+ 70 C 安装风格:SMD/SMT 封装 / 箱体:FBGA-256
LFXP20E-3F388I 功能描述:FPGA - 现场可编程门阵列 19.7K LUTs 268 IO 1. 2V -3 Spd I RoHS:否 制造商:Altera Corporation 系列:Cyclone V E 栅极数量: 逻辑块数量:943 内嵌式块RAM - EBR:1956 kbit 输入/输出端数量:128 最大工作频率:800 MHz 工作电源电压:1.1 V 最大工作温度:+ 70 C 安装风格:SMD/SMT 封装 / 箱体:FBGA-256
LFXP20E-3F484C 功能描述:FPGA - 现场可编程门阵列 19.7K LUTs 340 IO 1. 2V -3 Spd RoHS:否 制造商:Altera Corporation 系列:Cyclone V E 栅极数量: 逻辑块数量:943 内嵌式块RAM - EBR:1956 kbit 输入/输出端数量:128 最大工作频率:800 MHz 工作电源电压:1.1 V 最大工作温度:+ 70 C 安装风格:SMD/SMT 封装 / 箱体:FBGA-256
LFXP20E-3F484I 功能描述:FPGA - 现场可编程门阵列 19.7K LUTs 340 IO 1. 2V -3 Spd I RoHS:否 制造商:Altera Corporation 系列:Cyclone V E 栅极数量: 逻辑块数量:943 内嵌式块RAM - EBR:1956 kbit 输入/输出端数量:128 最大工作频率:800 MHz 工作电源电压:1.1 V 最大工作温度:+ 70 C 安装风格:SMD/SMT 封装 / 箱体:FBGA-256