参数资料
型号: XC2C64A-7VQG44I
厂商: Xilinx Inc
文件页数: 16/16页
文件大小: 0K
描述: IC CR-II CPLD 64MCELL 44-VQFP
标准包装: 160
系列: CoolRunner II
可编程类型: 系统内可编程
最大延迟时间 tpd(1): 6.7ns
电压电源 - 内部: 1.7 V ~ 1.9 V
逻辑元件/逻辑块数目: 4
宏单元数: 64
门数: 1500
输入/输出数: 33
工作温度: -40°C ~ 85°C
安装类型: 表面贴装
封装/外壳: 44-TQFP
供应商设备封装: 44-VQFP(10x10)
包装: 托盘
配用: 122-1536-ND - KIT STARTER SPARTAN-3E
122-1532-ND - KIT DEVELOPMENT SPARTAN 3ADSP
其它名称: 122-1708
XC2C64A-7VQG44I-ND
CoolRunner-II CPLD Family
DS090 (v3.1) September 11, 2008
Product Specification
R
Additional Clock Options: Division,
DualEDGE, and CoolCLOCK
Clock Divider
A
clock
divider
circuit
has
been
included
in
the
CoolRunner-II CPLD architecture to divide one externally
supplied global clock by standard values. The allowable val-
ues for the division are 2, 4, 6, 8, 10, 12, 14, and 16 (see
Figure 8). This capability is supplied on the GCK2 pin. The
resulting clock produced has a 50% duty cycle for all possi-
ble divisions. The output of the clock divider is on global
routing. If the clock divider is used, the undivided clock is
available internally. If the undivided clock is required inter-
nally it is input through a separate clock pin.
The clock divider circuit encompasses a synchronous reset
(CDRST) to guarantee no spurious clocks can carry
through on to the global clock nets. When the CDRST signal
is asserted, the clock divider output is disabled after the cur-
rent cycle. When the CDRST signal is deasserted the clock
divider output becomes active upon the first edge of GCK2.
The CDRST pin functions as a reset pin regardless of which
CLK_DIV primitive is used. If a clock divider is used in the
design, the CDRST pin is reserved and if it is driven High
the clock divider is reset. If a reset port of a clock divider is
not used, it is tied Low on the board. The clock divider circuit
includes an active High synchronous reset, referred to as
CDRST.
The CoolRunner-II CPLD clock divider includes a built-in
delay circuit. With the delay feature enabled, the output of
the clock divider is delayed for one full count cycle. When
used, the clock divider does not output a rising clock edge
until after the divider reaches the delay value. The delay fea-
ture is either enabled or disabled upon configuration.
Xilinx Synthesis Technology (XST) allows a clock divider
component to be instantiated directly in the HDL source
code. See XAPP378 for instantiation examples in VHDL,
Verilog, and ABEL.
DualEDGE
Each macrocell has the ability to double its input clock
switching frequency. Figure 9 shows the macrocell flip-flop
with the DualEDGE option (doubled clock) at each macro-
cell. The source to double can be a control term clock, a
product term clock or one of the available global clocks. The
ability to switch on both clock edges, also known as dual
edge triggered (DET), is vital for a number of synchronous
memory interface applications as well as certain double
data rate I/O applications.
CoolRunner-II CPLD DET registers can be used for logic
functions that include shift registers, counters, comparators,
and state machines. Designers must evaluate the desired
performance of the CPLD logic to determine use of DET
registers.
The DET register can be inferred in any ABEL, HDL, or
schematic design. A designer can infer a single-edge trig-
gered (SET) register in any HDL design. The DET register is
available with all macrocells in all devices of the
CoolRunner-II family.
CoolCLOCK
In addition to the DualEDGE flip-flop, power savings can
occur by combining the clock division circuitry with the
DualEDGE circuitry. This capability is called CoolCLOCK
and is designed to reduce clocking power within the CPLD.
Because the clock net can be an appreciable power drain,
the clock power can be reduced by driving the net at half fre-
quency, then doubling the clock rate using DualEDGE trig-
gering at the macrocells. Figure 10 shows how CoolCLOCK
is created by internal clock cascading with the divider and
DualEDGE flip-flop working together.
GCK2 is the only clock network that can be divided, the
CoolCLOCK feature is only available on GCK2. The Cool-
CLOCK feature can be implemented by assigning an
attribute to an input clock. The CoolCLOCK attribute
replaces the need to instantiate the clock divider and infer
DET registers. The CoolCLOCK feature is available on
CoolRunner-II 128 macrocell devices and larger. See
XAPP378 for more detail.
Figure 8: Clock Division Circuitry for GCK2
DS090_08_121201
Clock
In
÷2
÷4
÷6
÷8
÷10
÷12
÷14
÷16
GCK2
CDRST
相关PDF资料
PDF描述
CWR09HK336KB CAP TANT 33UF 15V 10% 2915
ESM43DTAT-S189 CONN EDGECARD 86POS R/A .156 SLD
XC9572XL-10TQG100C IC CPLD 1.6K 72MCELL 100-TQFP
GMM43DREF CONN EDGECARD 86POS .156 EYELET
R2D12-1209/P CONV DC/DC 2W +/-9VOUT SMD
相关代理商/技术参数
参数描述
XC2C64SERIES 制造商:未知厂家 制造商全称:未知厂家 功能描述:CoolRunner-II CPLD
XC2JC101 制造商:Schneider Electric 功能描述:LIMIT SWITCH 600VDC 10AMP XC2J +OPTIONS 制造商:SCHNEIDER ELECTRIC 功能描述:Switch Access Limit Switch
XC2JC10111 制造商:Schneider Electric 功能描述:LIMIT SWITCH XC2JC10111
XC2JC10131 制造商:Schneider Electric 功能描述:LIMIT SWITCH 600VAC 10A XC +OPT-(METRIC) 制造商:SCHNEIDER ELECTRIC 功能描述:Switch Access Limit Switch
XC2JC10151 制造商:Schneider Electric 功能描述:LIMIT SWITCH 600VAC 10A XC +OPTIONS 制造商:SCHNEIDER ELECTRIC 功能描述:Switch Access Limit Switch Contact Block