参数资料
型号: EPM7128BTC100-7
厂商: Altera
文件页数: 8/66页
文件大小: 0K
描述: IC MAX 7000 CPLD 128 100-TQFP
标准包装: 270
系列: MAX® 7000B
可编程类型: 系统内可编程
最大延迟时间 tpd(1): 7.5ns
电压电源 - 内部: 2.375 V ~ 2.625 V
逻辑元件/逻辑块数目: 8
宏单元数: 128
门数: 2500
输入/输出数: 84
工作温度: 0°C ~ 70°C
安装类型: 表面贴装
封装/外壳: 100-TQFP
供应商设备封装: 100-TQFP(14x14)
包装: 托盘
16
Altera Corporation
MAX 7000B Programmable Logic Device Data Sheet
Programming Sequence
During in-system programming, instructions, addresses, and data are
shifted into the MAX 7000B device through the TDI input pin. Data is
shifted out through the TDO output pin and compared against the
expected data.
Programming a pattern into the device requires the following six ISP
stages. A stand-alone verification of a programmed pattern involves only
stages 1, 2, 5, and 6.
1.
Enter ISP. The enter ISP stage ensures that the I/O pins transition
smoothly from user mode to ISP mode. The enter ISP stage requires
1ms.
2.
Check ID. Before any program or verify process, the silicon ID is
checked. The time required to read this silicon ID is relatively small
compared to the overall programming time.
3.
Bulk Erase. Erasing the device in-system involves shifting in the
instructions to erase the device and applying one erase pulse of
100 ms.
4.
Program. Programming the device in-system involves shifting in the
address and data and then applying the programming pulse to
program the EEPROM cells. This process is repeated for each
EEPROM address.
5.
Verify. Verifying an Altera device in-system involves shifting in
addresses, applying the read pulse to verify the EEPROM cells, and
shifting out the data for comparison. This process is repeated for
each EEPROM address.
6.
Exit ISP. An exit ISP stage ensures that the I/O pins transition
smoothly from ISP mode to user mode. The exit ISP stage requires
1ms.
Programming Times
The time required to implement each of the six programming stages can
be broken into the following two elements:
A pulse time to erase, program, or read the EEPROM cells.
A shifting time based on the test clock (TCK) frequency and the
number of TCK cycles to shift instructions, address, and data into the
device.
相关PDF资料
PDF描述
RW2-1212D/B CONV DC/DC 2W 9-18VIN +/-12VOUT
XC9536-5VQ44C IC CPLD 36 MCELL C-TEMP 44-VQFP
V375A28E400BL3 CONVERTER MOD DC/DC 28V 400W
RMC43DRTN-S13 CONN EDGECARD 86POS .100 EXTEND
EBM06DRSH CONN EDGECARD 12POS DIP .156 SLD
相关代理商/技术参数
参数描述
EPM7128BTC100-7N 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 128 Macro 84 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7128BTC144-10 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 128 Macro 36 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7128BTC144-10N 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 128 Macro 36 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7128BTC144-4 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 128 Macro 36 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7128BTC144-7 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 128 Macro 36 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100