参数资料
型号: EPM7256AETC144-7N
厂商: Altera
文件页数: 29/64页
文件大小: 0K
描述: IC MAX 7000 CPLD 256 144-TQFP
产品变化通告: Bond Wire Change 4/Sept/2008
标准包装: 180
系列: MAX® 7000A
可编程类型: 系统内可编程
最大延迟时间 tpd(1): 7.5ns
电压电源 - 内部: 3 V ~ 3.6 V
逻辑元件/逻辑块数目: 16
宏单元数: 256
门数: 5000
输入/输出数: 120
工作温度: 0°C ~ 70°C
安装类型: 表面贴装
封装/外壳: 144-LQFP
供应商设备封装: 144-TQFP(20x20)
包装: 托盘
其它名称: 544-2354
Altera Corporation
35
MAX 7000A Programmable Logic Device Data Sheet
Table 18. EPM7032AE Internal Timing Parameters (Part 1 of 2)
Symbol
Parameter
Conditions
Speed Grade
Unit
-4
-7
-10
Min
Max
Min
Max
Min
Max
tIN
Input pad and buffer delay
0.7
1.2
1.5
ns
tIO
I/O input pad and buffer
delay
0.7
1.2
1.5
ns
tFIN
Fast input delay
2.3
2.8
3.4
ns
tSEXP
Shared expander delay
1.9
3.1
4.0
ns
tPEXP
Parallel expander delay
0.5
0.8
1.0
ns
tLAD
Logic array delay
1.5
2.5
3.3
ns
tLAC
Logic control array delay
0.6
1.0
1.2
ns
tIOE
Internal output enable delay
0.0
ns
tOD1
Output buffer and pad
delay, slow slew rate = off
VCCIO = 3.3 V
C1 = 35 pF
0.8
1.3
1.8
ns
tOD2
Output buffer and pad
delay, slow slew rate = off
VCCIO = 2.5 V
C1 = 35 pF
1.3
1.8
2.3
ns
tOD3
Output buffer and pad
delay, slow slew rate = on
VCCIO = 2.5 V or 3.3 V
C1 = 35 pF
5.8
6.3
6.8
ns
tZX1
Output buffer enable delay,
slow slew rate = off
VCCIO = 3.3 V
C1 = 35 pF
4.0
5.0
ns
tZX2
Output buffer enable delay,
slow slew rate = off
VCCIO = 2.5 V
C1 = 35 pF
4.5
5.5
ns
tZX3
Output buffer enable delay,
slow slew rate = on
VCCIO = 3.3 V
C1 = 35 pF
9.0
10.0
ns
tXZ
Output buffer disable delay C1 = 5 pF
4.0
5.0
ns
tSU
Register setup time
1.3
2.0
2.8
ns
tH
Register hold time
0.6
1.0
1.3
ns
tFSU
Register setup time of fast
input
1.0
1.5
ns
tFH
Register hold time of fast
input
1.5
ns
tRD
Register delay
0.7
1.2
1.5
ns
tCOMB
Combinatorial delay
0.6
1.0
1.3
ns
相关PDF资料
PDF描述
VI-B4D-CY-F1 CONVERTER MOD DC/DC 85V 50W
RCA10DTAN CONN EDGECARD 20POS R/A .125 SLD
747461-2 CONN D-SUB RCPT R/A 25POS PCB AU
RBA15DTKD CONN EDGECARD 30POS DIP .125 SLD
EPM7128STC100-6 IC MAX 7000 CPLD 128 100-TQFP
相关代理商/技术参数
参数描述
EPM7256AETI100-7 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 256 Macro 84 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7256AETI100-7N 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 256 Macro 84 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7256AETI144-7 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 256 Macro 36 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7256AETI144-7N 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 256 Macro 36 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7256AFC256-10 制造商:Rochester Electronics LLC 功能描述:- Bulk 制造商:Altera Corporation 功能描述: