参数资料
型号: EPM7256AETC144-7N
厂商: Altera
文件页数: 50/64页
文件大小: 0K
描述: IC MAX 7000 CPLD 256 144-TQFP
产品变化通告: Bond Wire Change 4/Sept/2008
标准包装: 180
系列: MAX® 7000A
可编程类型: 系统内可编程
最大延迟时间 tpd(1): 7.5ns
电压电源 - 内部: 3 V ~ 3.6 V
逻辑元件/逻辑块数目: 16
宏单元数: 256
门数: 5000
输入/输出数: 120
工作温度: 0°C ~ 70°C
安装类型: 表面贴装
封装/外壳: 144-LQFP
供应商设备封装: 144-TQFP(20x20)
包装: 托盘
其它名称: 544-2354
54
Altera Corporation
MAX 7000A Programmable Logic Device Data Sheet
Notes to tables:
(1)
These values are specified under the recommended operating conditions shown in Table 14 on page 28. See
Figure 12 for more information on switching waveforms.
(2)
These values are specified for a PIA fan-out of one LAB (16 macrocells). For each additional LAB fan-out in these
devices, add an additional 0.1 ns to the PIA timing value.
(3)
This minimum pulse width for preset and clear applies for both global clear and array controls. The tLPA parameter
must be added to this minimum width if the clear or reset signal incorporates the tLAD parameter into the signal
path.
(4)
This parameter is measured with a 16-bit loadable, enabled, up/down counter programmed into each LAB.
(5)
Operating conditions: VCCIO = 2.5 ± 0.2 V for commercial and industrial use.
(6)
The tLPA parameter must be added to the tLAD, tLAC, tIC, tEN, tSEXP, tACL, and tCPPW parameters for macrocells
running in low-power mode.
Power
Consumption
Supply power (P) versus frequency (fMAX, in MHz) for MAX 7000A
devices is calculated with the following equation:
P = PINT + PIO = ICCINT × VCC + PIO
The PIO value, which depends on the device output load characteristics
and switching frequency, can be calculated using the guidelines given in
The ICCINT value depends on the switching frequency and the application
logic. The ICCINT value is calculated with the following equation:
ICCINT =
(A × MCTON) + [B × (MCDEV – MCTON)] + (C × MCUSED × fMAX × togLC)
tCOMB
Combinatorial delay
1.6
2.0
2.7
3.2
ns
tIC
Array clock delay
2.7
3.4
4.5
5.4
ns
tEN
Register enable time
2.5
3.1
4.2
5.0
ns
tGLOB
Global control delay
1.1
1.4
1.8
2.2
ns
tPRE
Register preset time
2.3
2.9
3.8
4.6
ns
tCLR
Register clear time
2.3
2.9
3.8
4.6
ns
tPIA
PIA delay
1.3
1.6
2.1
2.6
ns
tLPA
Low-power adder
11.0
10.0
ns
Table 30. EPM7256A Internal Timing Parameters (Part 2 of 2)
Note (1)
Symbol
Parameter
Conditions
Speed Grade
Unit
-6
-7
-10
-12
Min
Max
Min
Max
Min
Max
Min
Max
相关PDF资料
PDF描述
VI-B4D-CY-F1 CONVERTER MOD DC/DC 85V 50W
RCA10DTAN CONN EDGECARD 20POS R/A .125 SLD
747461-2 CONN D-SUB RCPT R/A 25POS PCB AU
RBA15DTKD CONN EDGECARD 30POS DIP .125 SLD
EPM7128STC100-6 IC MAX 7000 CPLD 128 100-TQFP
相关代理商/技术参数
参数描述
EPM7256AETI100-7 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 256 Macro 84 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7256AETI100-7N 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 256 Macro 84 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7256AETI144-7 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 256 Macro 36 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7256AETI144-7N 功能描述:CPLD - 复杂可编程逻辑器件 CPLD - MAX 7000 256 Macro 36 IOs RoHS:否 制造商:Lattice 系列: 存储类型:EEPROM 大电池数量:128 最大工作频率:333 MHz 延迟时间:2.7 ns 可编程输入/输出端数量:64 工作电源电压:3.3 V 最大工作温度:+ 90 C 最小工作温度:0 C 封装 / 箱体:TQFP-100
EPM7256AFC256-10 制造商:Rochester Electronics LLC 功能描述:- Bulk 制造商:Altera Corporation 功能描述: