参数资料
型号: XCV300E-8FG456C
厂商: Xilinx Inc
文件页数: 186/233页
文件大小: 0K
描述: IC FPGA 1.8V C-TEMP 456-FBGA
产品变化通告: FPGA Family Discontinuation 18/Apr/2011
标准包装: 1
系列: Virtex®-E
LAB/CLB数: 1536
逻辑元件/单元数: 6912
RAM 位总计: 131072
输入/输出数: 312
门数: 411955
电源电压: 1.71 V ~ 1.89 V
安装类型: 表面贴装
工作温度: 0°C ~ 85°C
封装/外壳: 456-BBGA
供应商设备封装: 456-FBGA
第1页第2页第3页第4页第5页第6页第7页第8页第9页第10页第11页第12页第13页第14页第15页第16页第17页第18页第19页第20页第21页第22页第23页第24页第25页第26页第27页第28页第29页第30页第31页第32页第33页第34页第35页第36页第37页第38页第39页第40页第41页第42页第43页第44页第45页第46页第47页第48页第49页第50页第51页第52页第53页第54页第55页第56页第57页第58页第59页第60页第61页第62页第63页第64页第65页第66页第67页第68页第69页第70页第71页第72页第73页第74页第75页第76页第77页第78页第79页第80页第81页第82页第83页第84页第85页第86页第87页第88页第89页第90页第91页第92页第93页第94页第95页第96页第97页第98页第99页第100页第101页第102页第103页第104页第105页第106页第107页第108页第109页第110页第111页第112页第113页第114页第115页第116页第117页第118页第119页第120页第121页第122页第123页第124页第125页第126页第127页第128页第129页第130页第131页第132页第133页第134页第135页第136页第137页第138页第139页第140页第141页第142页第143页第144页第145页第146页第147页第148页第149页第150页第151页第152页第153页第154页第155页第156页第157页第158页第159页第160页第161页第162页第163页第164页第165页第166页第167页第168页第169页第170页第171页第172页第173页第174页第175页第176页第177页第178页第179页第180页第181页第182页第183页第184页第185页当前第186页第187页第188页第189页第190页第191页第192页第193页第194页第195页第196页第197页第198页第199页第200页第201页第202页第203页第204页第205页第206页第207页第208页第209页第210页第211页第212页第213页第214页第215页第216页第217页第218页第219页第220页第221页第222页第223页第224页第225页第226页第227页第228页第229页第230页第231页第232页第233页
Virtex-E 1.8 V Field Programmable Gate Arrays
R
Module 2 of 4
DS022-2 (v3.0) March 21, 2014
50
Production Product Specification
— OBSOLETE — OBSOLETE — OBSOLETE — OBSOLETE —
Creating LVDS Output 3-State Buffers
LVDS output 3-state buffers can be placed in a wide number
of IOB locations. The exact locations are dependent on the
package used. The Virtex-E package information lists the
possible locations as IO_L#P for the P-side and IO_L#N for
the N-side, where # is the pair number.
HDL Instantiation
Both output 3-state buffers are required to be instantiated in
the design and placed on the correct IO_L#P and IO_L#N
locations. The IOB must have the same net source the fol-
lowing pins, clock (C), set/reset (SR), 3-state (T), 3-state
clock enable (TCE), output (O), output clock enable (OCE).
In addition, the output (O) pins must be inverted with
respect to each other, and if output registers are used, the
INIT states must be opposite values (one High and one
Low). If 3-state registers are used, they must be initialized to
the same state. Failure to follow these rules leads to DRC
errors in the software.
VHDL Instantiation
data0_p:
OBUFT_LVDS port map
(I=>data_int(0), T=>data_tri,
O=>data_p(0));
data0_inv: INV port map
(I=>data_int(0), O=>data_n_int(0));
data0_n:
OBUFT_LVDS port map
(I=>data_n_int(0), T=>data_tri,
O=>data_n(0));
Verilog Instantiation
OBUFT_LVDS data0_p
(.I(data_int[0]),
.T(data_tri), .O(data_p[0]));
INV
data0_inv (.I(data_int[0],
.O(data_n_int[0]);
OBUFT_LVDS data0_n
(.I(data_n_int[0]),
.T(data_tri), .O(data_n[0]));
Location Constraints
All LVDS buffers must be explicitly placed on a device. For
the output buffers this can be done with the following con-
straint in the .ucf or .ncf file.
NET data_p<0> LOC = D28; # IO_L0P
NET data_n<0> LOC = B29; # IO_L0N
Synchronous vs. Asynchronous 3-State Outputs
If the outputs are synchronous (registered in the IOB), then
any IO_L#P|N pair can be used. If the outputs are asynchro-
nous (no output register), then they must use one of the
pairs that are part of the same IOB group at the end of a
ROW or COLUMN in the device. This applies for either the
3-state pin or the data out pin.
LVDS pairs that can be used as asynchronous outputs are
listed in the Virtex-E pinout tables. Some pairs are marked
as “asynchronous capable” for all devices in that package,
and others are marked as available only for that device in
the package. If the device size might be changed at some
point in the product lifetime, then only the common pairs for
all packages should be used.
Adding Output and 3-State Registers
All LVDS buffers can have an output register in the IOB. The
output registers must be in both the P-side and N-side IOBs.
All the normal IOB register options are available (FD, FDE,
FDC, FDCE, FDP, FDPE, FDR, FDRE, FDS, FDSE, LD,
LDE, LDC, LDCE, LDP, LDPE). The register elements can
be inferred or explicitly instantiated in the HDL code.
Special care must be taken to insure that the D pins of the
registers are inverted and that the INIT states of the regis-
ters are opposite. The 3-state (T), 3-state clock enable
(CE), clock pin (C), output clock enable (CE) and set/reset
(CLR/PRE or S/R) pins must connect to the same source.
Failure to do this leads to a DRC error in the software.
Table 43: Output Library Macros
Name
Inputs
Outputs
OBUFDS_FD_LVDS
D, C
O, OB
OBUFDS_FDE_LVDS
DD, CE, C
O, OB
OBUFDS_FDC_LVDS
D, C, CLR
O, OB
OBUFDS_FDCE_LVDS
D, CE, C, CLR
O, OB
OBUFDS_FDP_LVDS
D, C, PRE
O, OB
OBUFDS_FDPE_LVDS
D, CE, C, PRE
O, OB
OBUFDS_FDR_LVDS
D, C, R
O, OB
OBUFDS_FDRE_LVDS
D, CE, C, R
O, OB
OBUFDS_FDS_LVDS
D, C, S
O, OB
OBUFDS_FDSE_LVDS
D, CE, C, S
O, OB
OBUFDS_LD_LVDS
D, G
O, OB
OBUFDS_LDE_LVDS
D, GE, G
O, OB
OBUFDS_LDC_LVDS
D, G, CLR
O, OB
OBUFDS_LDCE_LVDS
D, GE, G, CLR
O, OB
OBUFDS_LDP_LVDS
D, G, PRE
O, OB
OBUFDS_LDPE_LVDS
D, GE, G, PRE
O, OB
相关PDF资料
PDF描述
XCV300E-7FG456I IC FPGA 1.8V I-TEMP 456-FBGA
XC2V2000-4FGG676C IC VIRTEX-II FPGA 2M 676-FBGA
XC5VLX50-1FFG1153C IC FPGA VIRTEX-5 50K 1153FBGA
XC2V1500-4FG676I IC FPGA VIRTEX-II 676FGBGA
4-1393561-8 CONN D-SUB CABLE BUSHING 9-37POS
相关代理商/技术参数
参数描述
XCV300E-8FG456I 制造商:XILINX 制造商全称:XILINX 功能描述:Virtex-E 1.8 V Field Programmable Gate Arrays
XCV300E-8HQ204C 制造商:XILINX 制造商全称:XILINX 功能描述:Virte -E 1.8 V Field Programmable Gate Arrays
XCV300E-8HQ204I 制造商:XILINX 制造商全称:XILINX 功能描述:Virte -E 1.8 V Field Programmable Gate Arrays
XCV300E-8HQ240C 制造商:XILINX 制造商全称:XILINX 功能描述:Virtex-E 1.8 V Field Programmable Gate Arrays
XCV300E-8HQ240I 制造商:XILINX 制造商全称:XILINX 功能描述:Virtex⑩-E 1.8 V Field Programmable Gate Arrays