参数资料
型号: CORE10/100-AR
厂商: Microsemi SoC
文件页数: 78/106页
文件大小: 0K
描述: IP CORE10/100 UNLIMITED RTL
标准包装: 1
系列: *
User Testbench Support Routines
Core10100 v4.0
Procedure tb_write_tx_descriptor
The procedure tb_write_tx_descriptor writes a transmit descriptor into shared RAM, issued from the testbench. It is
defined below:
procedure tb_write_tx_descriptor (
marks
: in STRING;
signal
signal
signal
signal
desaddr
des0
des1
des2
des3
clk
we
waddr
wdata
: in std_logic;
: out std_logic;
: out std_logic_vector(DATADEPTH-1 downto 0);
: out std_logic_vector(DATAWIDTH-1 downto 0);
: in integer;
: in integer;
: in integer;
: in integer;
: in integer
)
The string marks is displayed at beginning of the information, clk is the clkdma, desaddr is the beginning address of the
descriptor, we is the write enable issued from the testbench, waddr is the write address to shared RAM issued from the
testbench, wdata is the write data bus issued from the testbench, and des0, des1,des2, and des3 are the four 32-bit words
of the descriptor.
Procedure tb_write_rx_descriptor
The procedure tb_write_rx_descriptor writes a receive descriptor into shared RAM, issued from the testbench. It is
defined below:
procedure tb_write_rx_descriptor (
marks
: in STRING;
signal
signal
signal
signal
desaddr
des0
des1
des2
des3
clk
we
waddr
wdata
: in std_logic;
: out std_logic;
: out std_logic_vector(DATADEPTH-1 downto 0);
: out std_logic_vector(DATAWIDTH-1 downto 0);
: in integer;
: in integer;
: in integer;
: in integer;
: in integer
)
The string marks is displayed at beginning of the information, clk is the CLKDMA, desaddr is the beginning address of
the descriptor, we is the write enable issued from the testbench, waddr is the write address to shared RAM issued from
the testbench, wdata is the write data bus issued from the testbench, and des0, des1,des2, and des3 are the four 32-bit
words of the descriptor.
78
v4.0
相关PDF资料
PDF描述
CORE1553BBC-AR IP MODULE CORE1553 BUS CTLR
CORE1553BRT-AR IP MODULE CORE1553 REMOTE TERM
CORE8051-AR IP MODULE CORE8051
COREFFT-RM IP MODULE COREFFT
COREFIR-RM IP MODULE COREFIR
相关代理商/技术参数
参数描述
CORE10GMAC-OM 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE10GMAC-OMFL 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE12X4 制造商:Bogen Communications 功能描述:12 X 4 MATRIX CONTROLLER
CORE1553BBC-AN 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BBC-AR 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384