参数资料
型号: CORE1553BRT-AR
厂商: Microsemi SoC
文件页数: 54/74页
文件大小: 0K
描述: IP MODULE CORE1553 REMOTE TERM
标准包装: 1
系列: *
Implementation Hints
External Command Word Legality Example
The core provides three ports (USEEXTOK, CMDVAL, and CMDOK) that allow the legal command word
set to be modified. When USEEXTOK is LOW, the core internally decides which command words are
legal (the legal command word set is defined in the Core1553BRT MIL-STD-1553B Remote Terminal
datasheet). When USEEXTOK is HIGH, an external block decodes the CMDVAL output and generates a
CMDOK input to indicate legal command words.
The VHDL and Verilog code blocks below implement an external legality checker that does the following:
?
?
?
?
Legalizes mode codes as per the Core1553BRT MIL-STD-1553B Remote Terminal datasheet
Disables transmits from subaddresses 26 and 27
Disables receives to subaddress 25
Only enables word counts 1 to 9 and receives to subaddress 27
The source files for these modules are provided in the source directory.
The core allows 3 μs for the legality block to decode CWVAL and generate the CMDOK value; this can
be implemented within the FPGA, as shown below.
VHDL Example
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity CWLEGALITY is
port ( CWVAL : in
std_logic_vector(11 downto 0);
CMDOKAY : out std_logic
);
end CWLEGALITY;
architecture RTL of CWLEGALITY is
signal BROADCAST : std_logic;
signal ISMCODE : std_logic;
signal TX : std_logic;
signal SA : std_logic_vector(4 downto 0);
signal WCMC : std_logic_vector(4 downto 0);
begin
-- Decode incoming value
BROADCAST <= CWVAL(11);
TX <= CWVAL(10);
SA <= CWVAL(9 downto 5);
WCMC <= CWVAL(4 downto 0);
ISMCODE <= '1' when ( SA="00000" or SA="11111") else '0';
-- This process decodes the command word and sets CMDOKAY for legal command words.
PLEGAL:
process (BROADCAST,TX,SA,WCMC,ISMCODE)
variable OK : std_logic;
variable MUXSEL : std_logic_vector(5 downto 0);
begin
if (ISMCODE='0') then
-- Data transfers
MUXSEL := TX & SA;
OK := '0';
-- Default is disabled
------------------------------------------------------------------------
-- This case statement legalizes data transfers to certain subaddresses
case MUXSEL is
when "111010" => OK := '0';
when "111011" => OK := '0';
when "011001" => OK := '0';
Revision 3
-- SA 26 Disabled for TX
-- SA 27 Disabled for TX
-- SA 25 Disabled for RX
54
相关PDF资料
PDF描述
CORE8051-AR IP MODULE CORE8051
COREFFT-RM IP MODULE COREFFT
COREFIR-RM IP MODULE COREFIR
COREPCIF-RM IP MODULE COREPCIF
COREU1LL-AR IP MODULE COREU1LL
相关代理商/技术参数
参数描述
CORE1553BRT-EBR-AN 功能描述:IP MOD CORE1553 EBR ENH BIT RATE RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BRT-EBR-AR 功能描述:IP MOD CORE1553 EBR ENH BIT RATE RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BRT-OM 功能描述:IP MODULE CORE1553 REMOTE TERM RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BRT-OMFL 功能描述:IP MODULE CORE1553 BUS/REMOTE 制造商:microsemi corporation 系列:- 零件状态:在售 类型:许可证 应用:- 版本:- 许可长度:- 许可 - 用户明细:- 操作系统:- 配套使用产品/相关产品:Microsemi 器件 媒体分发类型:- 标准包装:1
CORE1553BRT-RM 功能描述:IP MODULE CORE1553 REMOTE TERM RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384