参数资料
型号: CORE1553BRT-AR
厂商: Microsemi SoC
文件页数: 60/74页
文件大小: 0K
描述: IP MODULE CORE1553 REMOTE TERM
标准包装: 1
系列: *
Implementation Hints
Consider the Verilog extended interrupt vector generation example below:
module INTVECTEXTENDER (CLK, INTLAT, CMDVAL, INTVECT, MAPVECT);
input CLK;
input INTLAT;
input [11:0] CMDVAL;
input [6:0] INTVECT;
output [12:0] MAPVECT;
reg [12:0] MAPVECT;
reg [11:0] CMDINT;
always @( posedge CLK)
begin
if (INTLAT == 1'b1)
CMDINT <= CMDVAL ;
end
always @(CMDINT or INTVECT)
begin
reg [4:0] SA;
reg [4:0] WC;
reg BCAST;
reg TX;
reg GBR;
WC = CMDINT[4:0];
BCAST = CMDINT[11];
GBR = INTVECT[6];
TX = INTVECT[5];
SA = INTVECT[4:0];
MAPVECT <= {GBR, BCAST, TX, SA, WC} ;
end
endmodule
Or, if you are using VHDL, consider the VHDL extended interrupt generation function
example code:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity INTVECTEXTENDER is
port ( CLK : in
INTLAT : in
CMDVAL : in
INTVECT : in
MAPVECT : out
std_logic;
std_logic;
std_logic_vector(11 downto 0);
std_logic_vector( 6 downto 0);
std_logic_vector(12 downto 0)
);
end INTVECTEXTENDER;
architecture RTL of INTVECTEXTENDER is
signal CMDINT : std_logic_vector(11 downto 0);
begin
process (CLK)
begin
if CLK'event and CLK='1' then
if INTLAT='1' then
CMDINT <= CMDVAL;
end if ;
end if ;
end process ;
process (CMDINT,INTVECT)
variable SA : std_logic_vector(4 downto 0);
variable WC : std_logic_vector(4 downto 0);
variable BCAST : std_logic;
Revision 3
60
相关PDF资料
PDF描述
CORE8051-AR IP MODULE CORE8051
COREFFT-RM IP MODULE COREFFT
COREFIR-RM IP MODULE COREFIR
COREPCIF-RM IP MODULE COREPCIF
COREU1LL-AR IP MODULE COREU1LL
相关代理商/技术参数
参数描述
CORE1553BRT-EBR-AN 功能描述:IP MOD CORE1553 EBR ENH BIT RATE RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BRT-EBR-AR 功能描述:IP MOD CORE1553 EBR ENH BIT RATE RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BRT-OM 功能描述:IP MODULE CORE1553 REMOTE TERM RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BRT-OMFL 功能描述:IP MODULE CORE1553 BUS/REMOTE 制造商:microsemi corporation 系列:- 零件状态:在售 类型:许可证 应用:- 版本:- 许可长度:- 许可 - 用户明细:- 操作系统:- 配套使用产品/相关产品:Microsemi 器件 媒体分发类型:- 标准包装:1
CORE1553BRT-RM 功能描述:IP MODULE CORE1553 REMOTE TERM RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384