参数资料
型号: CORE1553BRT-AR
厂商: Microsemi SoC
文件页数: 55/74页
文件大小: 0K
描述: IP MODULE CORE1553 REMOTE TERM
标准包装: 1
系列: *
Core1553BRT v4.0 Handbook
when "011011" => if WCMC>0 and WCMC <10 then
OK := '1';
end if ;
-- SA 27 Disabled for RX if WC>9
when others
=> OK := '1';
-- Legalize all other subaddresses
end case ;
------------------------------------------------------------------------
-- Broadcast transmits are not allowed; overrides above case statement
if BROADCAST='1' and TX='1' then
OK := '0';
-- Broadcast transmit is not allowed
end if ;
else
------------------------------------------------------------------------
-- This case statement legalizes mode codes
MUXSEL := TX & WCMC;
OK := '1';
-- Default is OKAY
case MUXSEL is
when "100000" => -- Dynamic Bus Control
OK := '0';
-- Since we can’t do it, we Message Error
when "100001" => -- Synchronise
when "100010" => -- Transmit Status Word
OK := not BROADCAST;
when "100011" => -- Initiate Self-Test; we set this because we provide BIT word
OK := '1';
when "100100" => -- Transmitter Shutdown
when "100101" => -- Override Transmitter Shutdown
when "100110" => -- Inhibit Terminal Flag
when "100111" => -- Override Inhibit Terminal Flag
when "101000" => -- Reset Remote Terminal
when "110000" => -- Transmit Vector Word
OK := not BROADCAST;
when "010001" => -- Synchronise with Data
when "110010" => -- Transmit Last Command
OK := not BROADCAST;
when "110011" => -- Transmit BIT Word
OK := not BROADCAST;
when "010100" => -- Selected Transmitter Shutdown
OK := '0';
when "010101" => -- Override Selected Transmitter Shutdown
OK := '0';
when others
=> -- All other commands illegal
OK := '0';
end case ;
end if ;
CMDOKAY <= OK;
end process;
end RTL;
Verilog Example
module CWLEGALITY (CWVAL, CMDOKAY);
input [11:0] CWVAL;
output CMDOKAY;
reg CMDOKAY;
wire BROADCAST, ISMCODE, TX;
wire [4:0] SA, WCMC;
assign
BROADCAST = CWVAL[11] ;
// Decode incoming Value
assign
assign
assign
assign
TX = CWVAL[10] ;
SA = CWVAL[9:5] ;
WCMC = CWVAL[4:0] ;
ISMCODE = (SA == 5'b00000 | SA == 5'b11111) ? 1'b1 : 1'b0 ;
always @(BROADCAST or TX or SA or WCMC or ISMCODE)
Revision 3
55
相关PDF资料
PDF描述
CORE8051-AR IP MODULE CORE8051
COREFFT-RM IP MODULE COREFFT
COREFIR-RM IP MODULE COREFIR
COREPCIF-RM IP MODULE COREPCIF
COREU1LL-AR IP MODULE COREU1LL
相关代理商/技术参数
参数描述
CORE1553BRT-EBR-AN 功能描述:IP MOD CORE1553 EBR ENH BIT RATE RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BRT-EBR-AR 功能描述:IP MOD CORE1553 EBR ENH BIT RATE RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BRT-OM 功能描述:IP MODULE CORE1553 REMOTE TERM RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BRT-OMFL 功能描述:IP MODULE CORE1553 BUS/REMOTE 制造商:microsemi corporation 系列:- 零件状态:在售 类型:许可证 应用:- 版本:- 许可长度:- 许可 - 用户明细:- 操作系统:- 配套使用产品/相关产品:Microsemi 器件 媒体分发类型:- 标准包装:1
CORE1553BRT-RM 功能描述:IP MODULE CORE1553 REMOTE TERM RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384