参数资料
型号: CORE10/100-RM
厂商: Microsemi SoC
文件页数: 103/106页
文件大小: 0K
描述: IP CORE10/100 ETHERNET MAC
标准包装: 1
系列: *
Index
A
Actel
electronic mail 101
telephone 102
web-based technical support 101
website 101
addressing
control and status registers 27
architecture 11
D
deferring 61
descriptors 41
chained structure 43
overview 41
ring structure 42
transmit 46
device utilization 7
DMA controller 51
ARM-based system 6
F
B
frame data 41
Bus Mode Register (CSR0) 27
G
C
clock controls 68
cock and reset control 68
collision detection 5
collision handling 60
contacting Actel
customer service 101
electronic mail 101
telephone 102
web-based technical support 101
Core10100
block diagram 5
CSR interface 65
data interface 65
Core10100_AHBAPB
AHB interface 68
APB interface 67
CRC
calculate with hash filtering 63
CSR 38
definitions 27
CSR0 27
CSR1 29
CSR11 40
CSR2 29
CSR3 30
CSR4 30
CSR5 30
CSR6 33
CSR7 36
CSR8 37
customer service 101
v4.0
General-Purpose Timer and Interrupt Mitigation
Control Register (CSR11) 40
I
interface signals
AHB/APB 26
common 24
CSR 22
interface types 19
internal operation 51
Interrupt Enable Register (CSR7) 36
interrupts
controller 55
scheme 56
L
Libero IDE
synthesis 18
licenses
Obfuscated 15
RTL 15
types 15
M
MAC address 49
MAC Ethernet controller 5
memory requirements 10
MII interface 57
signals 57
MII Management and Serial ROM Interface Register
(CSR9) 38
MII management interface 39
103
相关PDF资料
PDF描述
M3CMK-2040K IDC CABLE - MKC20K/MC20F/MCG20K
M3AMK-2040K IDC CABLE - MSC20K/MC20F/MCG20K
M3UUK-4020K IDC CABLE - MKS40K/MC40F/MKS40K
BQ26221PWG4 IC BATTERY MONITOR HP 8-TSSOP
MAX6328UR29+T IC MPU/RESET CIRC 2.93V SOT23-3
相关代理商/技术参数
参数描述
CORE10GMAC-OM 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE10GMAC-OMFL 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE12X4 制造商:Bogen Communications 功能描述:12 X 4 MATRIX CONTROLLER
CORE1553BBC-AN 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BBC-AR 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384