参数资料
型号: CORE10/100-RM
厂商: Microsemi SoC
文件页数: 77/106页
文件大小: 0K
描述: IP CORE10/100 ETHERNET MAC
标准包装: 1
系列: *
Core10100 v4.0
VHDL Support
Procedure tb_write_data
The procedure tb_write_data writes data into shared RAM, issued from the testbench. It is defined below:
procedure tb_write_data (
count
: in integer;
signal
signal
signal
signal
wa
wd
clk
we
waddr
wdata
: in std_logic;
: out std_logic;
: out std_logic_vector(DATADEPTH-1 downto 0);
: out std_logic_vector(DATAWIDTH-1 downto 0);
: in integer;
: in int_array
)
The CLKDMA is clk, count is number of the byte, wa is the beginning address of the sequence data, wd is an array
storing the written data, we is the write enable issued from testbench, waddr is the write address to shared RAM issued
from the testbench, and wdata is the write data bus issued from the testbench.
Procedure tb_read_data
The procedure tb_read_data reads data from shared RAM, issued from the testbench. It is defined below:
procedure tb_read_data (
count
: in integer;
signal
signal
signal
signal
ra
rd
clk
re
raddr
rdata
: in std_logic;
: out std_logic;
: out std_logic_vector(DATADEPTH-1 downto 0);
: out std_logic_vector(DATAWIDTH-1 downto 0);
: in integer;
: out int_array
)
The CLKDMA is clk, count is the number of bytes, ra is the beginning address of the sequence data, rd is an array storing
the written data, re is the read enable issued from testbench, raddr is the read address to shared RAM issued from the
testbench, and rdata is the read data to the testbench.
v4.0
77
相关PDF资料
PDF描述
M3CMK-2040K IDC CABLE - MKC20K/MC20F/MCG20K
M3AMK-2040K IDC CABLE - MSC20K/MC20F/MCG20K
M3UUK-4020K IDC CABLE - MKS40K/MC40F/MKS40K
BQ26221PWG4 IC BATTERY MONITOR HP 8-TSSOP
MAX6328UR29+T IC MPU/RESET CIRC 2.93V SOT23-3
相关代理商/技术参数
参数描述
CORE10GMAC-OM 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE10GMAC-OMFL 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE12X4 制造商:Bogen Communications 功能描述:12 X 4 MATRIX CONTROLLER
CORE1553BBC-AN 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BBC-AR 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384