参数资料
型号: CORE10/100-RM
厂商: Microsemi SoC
文件页数: 81/106页
文件大小: 0K
描述: IP CORE10/100 ETHERNET MAC
标准包装: 1
系列: *
Core10100 v4.0
Verilog Support
Task print_csr5
The task print_csr5 displays detailed information on the CSR status register. It is defined below:
task print_csr5;
input [STRINGSIZE-1 : 0] marks;
input csr;
integer csr;
The string marks is displayed at beginning of the information, and csr is the value of CSR register CSR5.
Task u1_write_csr
The task u1_write_csr writes a CSR register of MAC unit 1. It is defined below:
task u1_write_csr;
input wa;
integer wa;
input wd;
integer wd;
The variable wa is the value of the CSR register address, and wd is the value of the CSR register.
Task u2_write_csr
The task u2_rite_csr writes a CSR register of MAC unit 2. It is defined below:
task u2_write_csr;
input wa;
integer wa;
input wd;
integer wd;
The variable wa is the value of the CSR register address, and wd is the value of the CSR register.
Task u1_read_csr
The task u1_ r ead_csr reads a CSR register in MAC unit 1. It is defined below:
task u1_read_csr;
input ra;
integer ra;
output rd;
integer rd;
The variable ra is the value of the CSR register address, and rd is the value of the CSR register.
Task u2_read_csr
The task u2_ r ead_csr reads a CSR register in MAC unit 2. It is defined below:
task u2_read_csr;
input ra;
integer ra;
output rd;
integer rd;
The variable ra is the value of the CSR register address, and rd is the value of the CSR register.
Task u1_write_data
The task u1_write_data writes data into shared RAM unit 1, issued from the testbench. It is defined below:
v4.0
81
相关PDF资料
PDF描述
M3CMK-2040K IDC CABLE - MKC20K/MC20F/MCG20K
M3AMK-2040K IDC CABLE - MSC20K/MC20F/MCG20K
M3UUK-4020K IDC CABLE - MKS40K/MC40F/MKS40K
BQ26221PWG4 IC BATTERY MONITOR HP 8-TSSOP
MAX6328UR29+T IC MPU/RESET CIRC 2.93V SOT23-3
相关代理商/技术参数
参数描述
CORE10GMAC-OM 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE10GMAC-OMFL 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE12X4 制造商:Bogen Communications 功能描述:12 X 4 MATRIX CONTROLLER
CORE1553BBC-AN 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BBC-AR 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384