参数资料
型号: CORE10/100-RM
厂商: Microsemi SoC
文件页数: 84/106页
文件大小: 0K
描述: IP CORE10/100 ETHERNET MAC
标准包装: 1
系列: *
User Testbench Support Routines
Core10100 v4.0
Task u1_write_rx_descriptor
The task u1_write_rx_descriptor writes a receive descriptor into shared RAM unit 1, issued from the testbench. It is
defined below:
task u1_write_rx_descriptor;
input [STRINGSIZE-1 : 0] marks;
input desaddr;
integer desaddr;
input des0;
integer des0;
input des1;
integer des1;
input des2;
integer des2;
input des3;
integer des3;
The string marks is displayed at the beginning of the information, desaddr is the starting address of the descriptor, and
des0, des1, des2, and des3 are the four 32-bit words of the receive descriptor.
Task u2_write_rx_descriptor
The task u2_write_rx_descriptor writes a receive descriptor into shared RAM unit 2, issued from the testbench. It is
defined below:
task u2_write_rx_descriptor;
input [STRINGSIZE-1 : 0] marks;
input desaddr;
integer desaddr;
input des0;
integer des0;
input des1;
integer des1;
input des2;
integer des2;
input des3;
integer des3;
The string marks is displayed at the beginning of the information, desaddr is the starting address of the descriptor, and
des0, des1 , des2 , and des3 are the four 32-bit words of the receive descriptor.
84
v4.0
相关PDF资料
PDF描述
M3CMK-2040K IDC CABLE - MKC20K/MC20F/MCG20K
M3AMK-2040K IDC CABLE - MSC20K/MC20F/MCG20K
M3UUK-4020K IDC CABLE - MKS40K/MC40F/MKS40K
BQ26221PWG4 IC BATTERY MONITOR HP 8-TSSOP
MAX6328UR29+T IC MPU/RESET CIRC 2.93V SOT23-3
相关代理商/技术参数
参数描述
CORE10GMAC-OM 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE10GMAC-OMFL 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE12X4 制造商:Bogen Communications 功能描述:12 X 4 MATRIX CONTROLLER
CORE1553BBC-AN 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BBC-AR 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384