参数资料
型号: CORE10/100-RM
厂商: Microsemi SoC
文件页数: 75/106页
文件大小: 0K
描述: IP CORE10/100 ETHERNET MAC
标准包装: 1
系列: *
A
User Testbench Support Routines
The verification and user testbenches for the Core10100 macro make use of various support routines, both in VHDL
and Verilog. The various support routines are described in this appendix for the VHDL and Verilog testbenches.
VHDL Support
The VHDL support routines (procedures and functions) are provided within a package. The support routines are
referenced from within the user testbenches, via library and use clauses.
Procedure Definitions
Procedure print(arguments)
Several print procedures are defined by overloading different argument types from string, integer, std_logic, and
std_logic_vector.
Procedure print_wt(arguments)
Several print_wt procedures display information as the print procedure, but simulation time is added at the beginning of
each display.
Procedure print_tx_descriptor
The procedure print_tx_descriptor displays detailed information about a transmit descriptor. It is defined below:
procedure print_tx_descriptor (
marks
des0
des1
des2
des3
: in STRING;
: in integer;
: in integer;
: in integer;
: in integer
) ;
The string marks is displayed at beginning of the information, and des0, des1,des2 , and des3 are the four 32-bit words of
the transmit descriptor.
Procedure print_rx_descriptor
The procedure print_rx_descriptor displays detailed information about a receive descriptor. It is defined below:
procedure print_rx_descriptor (
marks
des0
des1
des2
des3
: in STRING;
: in integer;
: in integer;
: in integer;
: in integer
) ;
The string marks is displayed at beginning of the information, and des0, des1,des2 , and des3 are the four 32-bit words of
the receive descriptor.
v4.0
75
相关PDF资料
PDF描述
M3CMK-2040K IDC CABLE - MKC20K/MC20F/MCG20K
M3AMK-2040K IDC CABLE - MSC20K/MC20F/MCG20K
M3UUK-4020K IDC CABLE - MKS40K/MC40F/MKS40K
BQ26221PWG4 IC BATTERY MONITOR HP 8-TSSOP
MAX6328UR29+T IC MPU/RESET CIRC 2.93V SOT23-3
相关代理商/技术参数
参数描述
CORE10GMAC-OM 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE10GMAC-OMFL 功能描述:HW/SW/OTHER 制造商:microsemi corporation 系列:* 零件状态:在售 标准包装:1
CORE12X4 制造商:Bogen Communications 功能描述:12 X 4 MATRIX CONTROLLER
CORE1553BBC-AN 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384
CORE1553BBC-AR 功能描述:IP MODULE CORE1553 BUS CTLR RoHS:否 类别:编程器,开发系统 >> 软件 系列:* 标准包装:1 系列:ISE® 设计套件 类型:订阅 适用于相关产品:Xilinx FPGAs 其它名称:Q4986209T1081384